1.3(top 50%)
impact factor
2.2K(top 10%)
papers
32.6K(top 10%)
citations
60(top 10%)
h-index
1.3(top 50%)
impact factor
2.2K
all documents
33.3K
doc citations
103(top 10%)
g-index

Top Articles

#TitleJournalYearCitations
1Mechanical properties of suspended graphene sheetsJournal of Vacuum Science & Technology B2007996
2Gas-assisted focused electron beam and ion beam processing and fabricationJournal of Vacuum Science & Technology B2008883
3Nanoimprint lithography: An old story in modern times? A reviewJournal of Vacuum Science & Technology B2008639
4Helium ion microscope: A new tool for nanoscale microscopy and metrologyJournal of Vacuum Science & Technology B2006363
5Extreme ultraviolet lithography: A reviewJournal of Vacuum Science & Technology B2007304
6Raman study of multiwalled carbon nanotubes functionalized with oxygen groupsJournal of Vacuum Science & Technology B2006220
7Interfacial energy and strength of multiwalled-carbon-nanotube-based dry adhesiveJournal of Vacuum Science & Technology B2006198
8Subsurface damage from helium ions as a function of dose, beam energy, and dose rateJournal of Vacuum Science & Technology B2009184
9Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithographyJournal of Vacuum Science & Technology B2007167
10Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithographyJournal of Vacuum Science & Technology B2009148
11Acid distribution in chemically amplified extreme ultraviolet resistJournal of Vacuum Science & Technology B2007141
12Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resistJournal of Vacuum Science & Technology B2006120
13High brightness inductively coupled plasma source for high current focused ion beam applicationsJournal of Vacuum Science & Technology B2006120
14Deep reactive ion etching as a tool for nanostructure fabricationJournal of Vacuum Science & Technology B2009119
15Sub-10-nm nanolithography with a scanning helium beamJournal of Vacuum Science & Technology B2009116
16Aligned carbon nanotubes/fibers for applications in vacuum microwave amplifiersJournal of Vacuum Science & Technology B2006111
17Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrateJournal of Vacuum Science & Technology B2007110
18On the use of alloying elements for Cu interconnect applicationsJournal of Vacuum Science & Technology B2006109
19Highly efficient vertical outgassing channels for low-temperature InP-to-silicon direct wafer bonding on the silicon-on-insulator substrateJournal of Vacuum Science & Technology B2008105
20Modeling radiation-induced carbon contamination of extreme ultraviolet opticsJournal of Vacuum Science & Technology B2006102
21Imprinted large-scale high density polymer nanopillars for organic solar cellsJournal of Vacuum Science & Technology B200897
22Analysis of acid yield generated in chemically amplified electron beam resistJournal of Vacuum Science & Technology B200696
23Optical and electrical properties of amorphous zinc tin oxide thin films examined for thin film transistor applicationJournal of Vacuum Science & Technology B200896
24Talbot lithography: Self-imaging of complex structuresJournal of Vacuum Science & Technology B200996
25Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resistJournal of Vacuum Science & Technology B200995
26Effect of cold development on improvement in electron-beam nanopatterning resolution and line roughnessJournal of Vacuum Science & Technology B200694
27Area selective atomic layer deposition of titanium dioxide: Effect of precursor chemistryJournal of Vacuum Science & Technology B200693
28Control of an electrospinning jet using electric focusing and jet-steering fieldsJournal of Vacuum Science & Technology B200693
29Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodesJournal of Vacuum Science & Technology B200992
30Challenges in 1 Teradot∕in.[sup 2] dot patterning using electron beam lithography for bit-patterned mediaJournal of Vacuum Science & Technology B200791
31P implantation doping of Ge: Diffusion, activation, and recrystallizationJournal of Vacuum Science & Technology B200690
32Mechanistic study of plasma damage of low k dielectric surfacesJournal of Vacuum Science & Technology B200889
33Nanofabrication of high aspect ratio 24nm x-ray zone plates for x-ray imaging applicationsJournal of Vacuum Science & Technology B200788
34Physics of generalized Fowler-Nordheim-type equationsJournal of Vacuum Science & Technology B200886
35Effects of nanolubricant on performance of hydrocarbon refrigerant systemJournal of Vacuum Science & Technology B200984
36Plasma etch removal of poly(methyl methacrylate) in block copolymer lithographyJournal of Vacuum Science & Technology B200883
37Si-containing block copolymers for self-assembled nanolithographyJournal of Vacuum Science & Technology B200882
38Silicon resonant microcantilevers for absolute pressure measurementJournal of Vacuum Science & Technology B200678
39Implementation of an imprint damascene process for interconnect fabricationJournal of Vacuum Science & Technology B200677
40Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor depositionJournal of Vacuum Science & Technology B200877
41Optimal temperature for development of poly(methylmethacrylate)Journal of Vacuum Science & Technology B200775
42Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmasJournal of Vacuum Science & Technology B200774
43Transport properties of InAs nanowire field effect transistors: The effects of surface statesJournal of Vacuum Science & Technology B200774
44Properties of reactively sputtered Ag, Au, Pd, and Pt Schottky contacts on n-type ZnOJournal of Vacuum Science & Technology B200973
45Extreme ultraviolet lithography: Status and prospectsJournal of Vacuum Science & Technology B200870
46Photon-beam lithography reaches 12.5 nm half-pitch resolutionJournal of Vacuum Science & Technology B200767
47Plasma-surface interactions of model polymers for advanced photoresists using C[sub 4]F[sub 8]∕Ar discharges and energetic ion beamsJournal of Vacuum Science & Technology B200766
48Adhesion between template materials and UV-cured nanoimprint resistsJournal of Vacuum Science & Technology B200766
49Stable room temperature deposited amorphous InGaZnO[sub 4] thin film transistorsJournal of Vacuum Science & Technology B200866
50Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafersJournal of Vacuum Science & Technology B200765