2.6(top 20%)
impact factor
2.3K(top 10%)
papers
39.5K(top 10%)
citations
85(top 10%)
h-index
2.6(top 20%)
impact factor
2.9K
all documents
43.0K
doc citations
126(top 10%)
g-index

Top Articles

#TitleJournalYearCitations
1Scheduling semiconductor wafer fabricationIEEE Transactions on Semiconductor Manufacturing1988565
2Material removal mechanism in chemical mechanical polishing: theory and modelingIEEE Transactions on Semiconductor Manufacturing2001498
3Fifty Years of Moore's LawIEEE Transactions on Semiconductor Manufacturing2011432
4A Convolutional Neural Network for Fault Classification and Diagnosis in Semiconductor Manufacturing ProcessesIEEE Transactions on Semiconductor Manufacturing2017347
5Efficient scheduling policies to reduce mean and variance of cycle-time in semiconductor manufacturing plantsIEEE Transactions on Semiconductor Manufacturing1994346
6Fault Detection Using the k-Nearest Neighbor Rule for Semiconductor Manufacturing ProcessesIEEE Transactions on Semiconductor Manufacturing2007345
7VARIUS: A Model of Process Variation and Resulting Timing Errors for MicroarchitectsIEEE Transactions on Semiconductor Manufacturing2008313
8Closed-loop job release control for VLSI circuit manufacturingIEEE Transactions on Semiconductor Manufacturing1988308
9Run by run process control: combining SPC and feedback controlIEEE Transactions on Semiconductor Manufacturing1995280
10The use and evaluation of yield models in integrated circuit manufacturingIEEE Transactions on Semiconductor Manufacturing1990273
11Wafer Map Defect Pattern Classification and Image Retrieval Using Convolutional Neural NetworkIEEE Transactions on Semiconductor Manufacturing2018272
12Modeling, analysis, simulation, scheduling, and control of semiconductor manufacturing systems: A Petri net approachIEEE Transactions on Semiconductor Manufacturing1998257
13Scheduling analysis of time-constrained dual-armed cluster toolsIEEE Transactions on Semiconductor Manufacturing2003243
14A steady-state throughput analysis of cluster tools: dual-blade versus single-blade robotsIEEE Transactions on Semiconductor Manufacturing1997213
15Supervisory run-to-run control of polysilicon gate etch using in situ ellipsometryIEEE Transactions on Semiconductor Manufacturing1994206
16Wafer Map Failure Pattern Recognition and Similarity Ranking for Large-Scale Data SetsIEEE Transactions on Semiconductor Manufacturing2015197
17A Petri Net Method for Schedulability and Scheduling Problems in Single-Arm Cluster Tools With Wafer Residency Time ConstraintsIEEE Transactions on Semiconductor Manufacturing2008194
18Modeling of chemical-mechanical polishing: a reviewIEEE Transactions on Semiconductor Manufacturing1995191
19A neural-network approach to recognize defect spatial pattern in semiconductor fabricationIEEE Transactions on Semiconductor Manufacturing2000189
20Analysis and decomposition of spatial variation in integrated circuit processes and devicesIEEE Transactions on Semiconductor Manufacturing1997185
21Thermal and stress analysis of semiconductor wafers in a rapid thermal processing ovenIEEE Transactions on Semiconductor Manufacturing1988181
22A production planning methodology for semiconductor manufacturing based on iterative simulation and linear programming calculationsIEEE Transactions on Semiconductor Manufacturing1996181
23Single-wafer cluster tool performance: an analysis of throughputIEEE Transactions on Semiconductor Manufacturing1994178
24Modeling of interconnect capacitance, delay, and crosstalk in VLSIIEEE Transactions on Semiconductor Manufacturing2000176
25Advantages of plasma etch modeling using neural networks over statistical techniquesIEEE Transactions on Semiconductor Manufacturing1993174
26Dynamic batching heuristic for simultaneous processingIEEE Transactions on Semiconductor Manufacturing1991172
27Convolutional Neural Network for Wafer Surface Defect Classification and the Detection of Unknown Defect ClassIEEE Transactions on Semiconductor Manufacturing2019172
28Multiblock Principal Component Analysis Based on a Combined Index for Semiconductor Fault Detection and DiagnosisIEEE Transactions on Semiconductor Manufacturing2006166
29Classification of Mixed-Type Defect Patterns in Wafer Bin Maps Using Convolutional Neural NetworksIEEE Transactions on Semiconductor Manufacturing2018154
30In Search of “Forever,” Continued Transistor Scaling One New Material at a TimeIEEE Transactions on Semiconductor Manufacturing2005151
31Ring Oscillators for CMOS Process Tuning and Variability ControlIEEE Transactions on Semiconductor Manufacturing2006150
32Effects of abrasive size distribution in chemical mechanical planarization: Modeling and verificationIEEE Transactions on Semiconductor Manufacturing2003143
33Integrated circuit yield management and yield analysis: development and implementationIEEE Transactions on Semiconductor Manufacturing1995142
34Scheduling of semiconductor test facility via Petri nets and hybrid heuristic searchIEEE Transactions on Semiconductor Manufacturing1998139
35Single-wafer cluster tool performance: an analysis of the effects of redundant chambers and revisitation sequences on throughputIEEE Transactions on Semiconductor Manufacturing1996137
36Tractable Nonlinear Production Planning Models for Semiconductor Wafer Fabrication FacilitiesIEEE Transactions on Semiconductor Manufacturing2006136
37A Voting Ensemble Classifier for Wafer Map Defect Patterns Identification in Semiconductor ManufacturingIEEE Transactions on Semiconductor Manufacturing2019134
38Advanced Dicing Technology for Semiconductor Wafer—Stealth DicingIEEE Transactions on Semiconductor Manufacturing2007131
39Analysis of Wafer Sojourn Time in Dual-Arm Cluster Tools With Residency Time Constraint and Activity Time VariationIEEE Transactions on Semiconductor Manufacturing2010131
40A plasticity-based model of material removal in chemical-mechanical polishing (CMP)IEEE Transactions on Semiconductor Manufacturing2001129
41Petri Net Modeling and Wafer Sojourn Time Analysis of Single-Arm Cluster Tools With Residency Time Constraints and Activity Time VariationIEEE Transactions on Semiconductor Manufacturing2012129
42Wafer Map Defect Detection and Recognition Using Joint Local and Nonlocal Linear Discriminant AnalysisIEEE Transactions on Semiconductor Manufacturing2016129
43A new scheduling approach using combined dispatching criteria in wafer fabsIEEE Transactions on Semiconductor Manufacturing2003127
44Decision Tree Ensemble-Based Wafer Map Failure Pattern Recognition Based on Radon Transform-Based FeaturesIEEE Transactions on Semiconductor Manufacturing2018125
45Specular spectroscopic scatterometryIEEE Transactions on Semiconductor Manufacturing2001124
46A Petri Net-Based Novel Scheduling Approach and Its Cycle Time Analysis for Dual-Arm Cluster Tools With Wafer RevisitingIEEE Transactions on Semiconductor Manufacturing2013124
47Multicluster Tools Scheduling: An Integrated Event Graph and Network Model ApproachIEEE Transactions on Semiconductor Manufacturing2006123
48A queueing network model for semiconductor manufacturingIEEE Transactions on Semiconductor Manufacturing1996121
49Petri Net-Based Optimal One-Wafer Scheduling of Single-Arm Multi-Cluster Tools in Semiconductor ManufacturingIEEE Transactions on Semiconductor Manufacturing2013121
50An overview of level set methods for etching, deposition, and lithography developmentIEEE Transactions on Semiconductor Manufacturing1997119