3.1(top 10%)
impact factor
2.4K(top 10%)
papers
57.9K(top 5%)
citations
90(top 5%)
h-index
3.2(top 20%)
impact factor
3.4K
all documents
60.3K
doc citations
153(top 5%)
g-index

Top Articles

#TitleJournalYearCitations
1Applied Plasma MedicinePlasma Processes and Polymers20081,790
2Low Temperature Plasma-Based Sterilization: Overview and State-of-the-ArtPlasma Processes and Polymers2005964
3Plasma Methods for the Generation of Chemically Reactive Surfaces for Biomolecule Immobilization and Cell Colonization - A ReviewPlasma Processes and Polymers2006887
4Nonthermal Plasma Processing for Air-Pollution Control: A Historical Review, Current Issues, and Future ProspectsPlasma Processes and Polymers2004603
5Arc‐Free Atmospheric Pressure Cold Plasma Jets: A ReviewPlasma Processes and Polymers2007585
6The Role of Acidification for Antimicrobial Activity of Atmospheric Pressure Plasma in LiquidsPlasma Processes and Polymers2010563
7Comparison of Direct and Indirect Effects of Non-Thermal Atmospheric-Pressure Plasma on BacteriaPlasma Processes and Polymers2007487
8Effects of pH on Bacterial Inactivation in Aqueous Solutions due to Low‐Temperature Atmospheric Pressure Plasma ApplicationPlasma Processes and Polymers2010469
9Microplasmas: Sources, Particle Kinetics, and Biomedical ApplicationsPlasma Processes and Polymers2008459
10Mechanisms of Plasma Polymerization – Reviewed from a Chemical Point of ViewPlasma Processes and Polymers2011350
11Plasma Surface Modification of Biodegradable Polymers: A ReviewPlasma Processes and Polymers2011340
12Atmospheric Pressure Low Temperature Direct Plasma Technology: Status and Challenges for Thin Film DepositionPlasma Processes and Polymers2012298
13Formation of ROS and RNS in Water Electro-Sprayed through Transient Spark Discharge in Air and their Bactericidal EffectsPlasma Processes and Polymers2013284
14Gas Plasma: Medical Uses and Developments in Wound CarePlasma Processes and Polymers2010264
15Estimation of Possible Mechanisms of Escherichia coli Inactivation by Plasma Treated Sodium Chloride SolutionPlasma Processes and Polymers2011245
16Antitumor Effect of Plasma Treatment on U87 Glioma Xenografts: Preliminary ResultsPlasma Processes and Polymers2010236
17Plasma–Liquid Interactions at Atmospheric Pressure for Nanomaterials Synthesis and Surface EngineeringPlasma Processes and Polymers2012227
18Reactive Species from Cold Atmospheric Plasma: Implications for Cancer TherapyPlasma Processes and Polymers2014227
19Nitrogen‐Rich Plasma‐Polymer Films for Biomedical ApplicationsPlasma Processes and Polymers2008213
20Plasma Treatment in Textile IndustryPlasma Processes and Polymers2015206
21Antibacterial Activity of an Atmospheric Pressure Plasma Jet Against Relevant Wound Pathogens in vitro on a Simulated Wound EnvironmentPlasma Processes and Polymers2010200
22Low-Temperature Plasma Processing of Materials: Past, Present, and FuturePlasma Processes and Polymers2005196
23Atmospheric Pressure Plasma Jet Treatment of Polyethylene Surfaces for Adhesion ImprovementPlasma Processes and Polymers2007195
24Non-Thermal Plasma Treatment of Agricultural Seeds for Stimulation of Germination, Removal of Surface Contamination and Other Benefits: A ReviewPlasma Processes and Polymers2015195
25Soft Plasma Treated Surfaces: Tailoring of Structure and Properties for Biomaterial ApplicationsPlasma Processes and Polymers2005194
26Inactivation of Bacteria in an Aqueous Environment by a Direct‐Current, Cold‐Atmospheric‐Pressure Air Plasma MicrojetPlasma Processes and Polymers2010194
27Inactivation of Bacteria by the Plasma PencilPlasma Processes and Polymers2006193
28LXCat: an Open‐Access, Web‐Based Platform for Data Needed for Modeling Low Temperature PlasmasPlasma Processes and Polymers2017188
29Plasma‐Induced Death of HepG2 Cancer Cells: Intracellular Effects of Reactive SpeciesPlasma Processes and Polymers2012184
30Assessment of the Physicochemical Properties and Biological Effects of Water Activated by Non‐thermal Plasma Above and Beneath the Water SurfacePlasma Processes and Polymers2015179
31Characterization of Microwave Plasma Torch for DecontaminationPlasma Processes and Polymers2008174
32Plasma agriculture: A rapidly emerging fieldPlasma Processes and Polymers2018174
33Main Species and Physicochemical Processes in Cold Atmospheric‐pressure He + O2 PlasmasPlasma Processes and Polymers2010163
34Anti‐Cancer Therapies of 21st Century: Novel Approach to Treat Human Cancers Using Cold Atmospheric PlasmaPlasma Processes and Polymers2014163
35The future for plasma science and technologyPlasma Processes and Polymers2019160
36Protein Inactivation by Low‐temperature Atmospheric Pressure Plasma in Aqueous SolutionPlasma Processes and Polymers2012158
37Atmospheric Pressure Plasma Jet Composed of Three Electrodes: Application to Tooth BleachingPlasma Processes and Polymers2010156
38Current status and future prospects of agricultural applications using atmospheric‐pressure plasma technologiesPlasma Processes and Polymers2018156
39Global Model of He/O2 and Ar/O2 Atmospheric Pressure Glow DischargesPlasma Processes and Polymers2008152
40Plasma‐driven dissociation of CO2 for fuel synthesisPlasma Processes and Polymers2017152
41Atmospheric Pressure Deposition of Micropatterned Nitrogen-Rich Plasma-Polymer Films for Tissue EngineeringPlasma Processes and Polymers2005150
42Reactive Oxygen Species in a Non‐thermal Plasma Microjet and Water System: Generation, Conversion, and Contributions to Bacteria Inactivation—An Analysis by Electron Spin Resonance SpectroscopyPlasma Processes and Polymers2012150
43Effect of Argon or Helium on the CO2 Conversion in a Dielectric Barrier DischargePlasma Processes and Polymers2015147
44Decontamination of Surfaces by Low Pressure Plasma DischargesPlasma Processes and Polymers2006143
45Plasma-Aided Micro- and Nanopatterning Processes for Biomedical ApplicationsPlasma Processes and Polymers2006143
46Antibacterial Surfaces and Coatings Produced by Plasma TechniquesPlasma Processes and Polymers2011143
47Macroscopic Description of Plasma PolymerizationPlasma Processes and Polymers2007140
48Biological Evaluation of DNA Damage in Bacteriophages Inactivated by Atmospheric Pressure Cold PlasmaPlasma Processes and Polymers2010137
49Inactivation of Bacteria and Biomolecules by Low‐Pressure Plasma DischargesPlasma Processes and Polymers2010137
50High Rate Etching of Polymers by Means of an Atmospheric Pressure Plasma JetPlasma Processes and Polymers2011134